• The IEEE 1164 standard (Multivalue Logic System for VHDL Model Interoperability) is a technical standard published by the IEEE in 1993. It describes the...
    7 KB (840 words) - 03:51, 31 July 2024
  • Thumbnail for VHDL
    VHDL (redirect from IEEE 1076)
    rules. In addition to IEEE standard 1164, several child standards were introduced to extend functionality of the language. IEEE standard 1076.2 added...
    32 KB (4,060 words) - 09:37, 19 September 2024
  • Verilog (redirect from IEEE 1364)
    competing VHDL, a dedicated standard for multi-valued logic exists as IEEE 1164 with nine levels. System tasks are available to handle simple I/O and...
    33 KB (4,200 words) - 18:48, 13 October 2024
  • Thumbnail for Boolean algebra (structure)
    to represent other circuit conditions such as high impedance - see IEEE 1164 or IEEE 1364. Givant & Halmos 2009, p. 20. Davey & Priestley 1990, pp. 109...
    49 KB (3,356 words) - 02:25, 17 September 2024
  • chosen for implementation convenience (see Karnaugh map § Don't cares). IEEE 1164 defines 9 logic states for use in electronic design automation. The standard...
    12 KB (1,241 words) - 18:59, 14 November 2024
  • This logic is itself a subset of the 9-valued logic standard called IEEE 1164 and implemented in Very High Speed Integrated Circuit Hardware Description...
    10 KB (1,402 words) - 00:43, 14 November 2024
  • Thumbnail for IEEE 802.11
    Transceiver Integration". Journal of Solid-State Circuits. 55 (5). IEEE: 1151–1164. Bibcode:2020IJSSC..55.1151L. doi:10.1109/JSSC.2019.2957651. S2CID 214179940...
    84 KB (10,820 words) - 14:02, 18 November 2024
  • Digital logic MVCML, multiple-valued current-mode logic IEEE 1164 a nine-valued standard for VHDL IEEE 1364 a four-valued standard for Verilog Three-state...
    30 KB (3,346 words) - 23:05, 6 November 2023
  • Thumbnail for WiMAX
    WiMAX (redirect from IEEE 802.16d)
    (WiMAX) is a family of wireless broadband communication standards based on the IEEE 802.16 set of standards, which provide physical layer (PHY) and media access...
    51 KB (6,056 words) - 23:20, 16 November 2024
  • the top of a design unit: library ieee; use ieee.std_logic_1164.all; -- standard unresolved logic UX01ZWLH- use ieee.numeric_std.all; -- for the signed...
    3 KB (390 words) - 20:56, 22 September 2024
  • Quantum Lovász Number". IEEE Transactions on Information Theory. 59 (2). Institute of Electrical and Electronics Engineers (IEEE): 1164–1174. arXiv:1002.2514...
    4 KB (413 words) - 10:18, 2 November 2024
  • Speech, and Signal Processing, is an annual flagship conference organized by IEEE Signal Processing Society. Ei Compendex has indexed all papers included in...
    9 KB (153 words) - 20:49, 5 November 2024
  • Thumbnail for IonQ
    March 2013). "Scaling the Ion Trap Quantum Processor". Science. 339 (6124): 1164–1169. Bibcode:2013Sci...339.1164M. doi:10.1126/science.1231298. PMID 23471398...
    12 KB (1,108 words) - 00:00, 21 November 2024
  • intended to be application-compatible with System/360. In comparison to IEEE 754 floating point, the HFP format has a longer significand, and a shorter...
    23 KB (2,208 words) - 07:34, 2 November 2024
  • behavioral and structural". Example of dataflow of VHDL: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY not1 IS PORT( a : IN STD_LOGIC; b : OUT STD_LOGIC...
    35 KB (3,619 words) - 20:37, 23 October 2024
  • Thumbnail for Electromigration
    reliability of near-bamboo interconnects". Journal of Materials Research. 13 (5): 1164–1170. Bibcode:1998JMatR..13.1164K. doi:10.1557/JMR.1998.0166. Lienig, J.:...
    36 KB (4,576 words) - 17:14, 4 September 2024
  • of Electrical and Electronics Engineers (IEEE) Computer Society and Standards Association. It oversees IEEE Standards that are related to computer-aided...
    7 KB (726 words) - 17:17, 28 January 2024
  • Thumbnail for Flowers of sulfur
    Tubercle Bacilli". American Review of Tuberculosis. 29 (6): 650–651. doi:10.1164/art.1934.29.6.650 (inactive 1 November 2024). ISSN 0096-0381.{{cite journal}}:...
    10 KB (1,199 words) - 07:20, 2 November 2024
  • Thumbnail for Confidence interval
    confidence intervals. Psychonomic Bulletin & Review Vol. 21, No. 5, pp. 1157-1164. [1] Scientists' grasp of confidence intervals doesn't inspire confidence...
    36 KB (4,589 words) - 08:13, 10 November 2024
  • Thumbnail for SQUID
    SQUID in twisted bilayer graphene". Nature Nanotechnology. 17 (11): 1159–1164. arXiv:2201.13276. Bibcode:2022NatNa..17.1159P. doi:10.1038/s41565-022-01222-0...
    24 KB (2,973 words) - 20:07, 8 November 2024
  • Thumbnail for Citation
    citation statistics and journal impact factors". IEEE Transactions on Software Engineering. 47 (6): 1164–1183. doi:10.1109/TSE.2019.2915065. Heneberg, P...
    40 KB (6,493 words) - 06:03, 20 August 2024
  • Thumbnail for Age of Earth
    Principles of Stratigraphy. Blackwell Publishing. p. 116. ISBN 978-1-4051-1164-5. Fuller, J. G. C. M. (2007-07-17). "Smith's other debt, John Strachey,...
    45 KB (5,455 words) - 05:17, 28 August 2024
  • Thumbnail for Ear-EEG
    International Conference Abstracts. American Thoracic Society. pp. A7596. doi:10.1164/ajrccm-conference.2017.195.1_MeetingAbstracts.A7596 (inactive 1 November...
    24 KB (2,749 words) - 06:30, 2 November 2024
  • Thumbnail for Vlaardingen
    ropes were made). The Grote Kerk was probably established between 1156 and 1164 and has been expanded, damaged and rebuilt. To the north of the old harbour...
    21 KB (1,966 words) - 13:40, 11 November 2024
  • endmodule The generated VHDL code looks as follows: library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.pck_myhdl_06...
    4 KB (462 words) - 02:53, 8 August 2022
  • mechanical ventilation". Am J Respir Crit Care Med. 150 (4): 896–903. doi:10.1164/ajrccm.150.4.7921460. PMID 7921460. Esteban, A; Frutos, F; Tobin, MJ; Alía...
    12 KB (1,539 words) - 07:15, 19 February 2024
  • Thumbnail for Air pollution
    dioxide". American Review of Respiratory Disease. 136 (5): 1117–21. doi:10.1164/ajrccm/136.5.1117. PMID 3674573. Singh R, Kumar S, Karmakar S, Siddiqui AJ...
    233 KB (23,499 words) - 12:41, 21 November 2024
  • rhinovirus-2". The American Review of Respiratory Disease. 141 (2): 352–56. doi:10.1164/ajrccm/141.2.352. PMID 2154152. b. Patricia Queen Samour; Kathy King Helm...
    570 KB (54,651 words) - 09:55, 22 November 2024
  • Thumbnail for Open access
    Journal of Respiratory and Critical Care Medicine. 201 (7): 840–847. doi:10.1164/rccm.201910-1957OC. ISSN 1073-449X. PMC 7124723. PMID 31968182. Gemma Derrick;...
    185 KB (18,131 words) - 02:02, 21 November 2024
  • Thumbnail for Electrical impedance tomography
    electrical impedance tomography". ATS Journal. 169 (7): 791–800. doi:10.1164/rccm.200301-133OC. PMID 14693669. Retrieved 13 September 2023. IMT. "Impedance...
    54 KB (6,603 words) - 14:07, 18 November 2024