• Thumbnail for Chemical vapor deposition
    Chemical vapor deposition (CVD) is a vacuum deposition method used to produce high-quality, and high-performance, solid materials. The process is often...
    41 KB (4,938 words) - 07:57, 6 June 2024
  • Thumbnail for Physical vapor deposition
    Physical vapor deposition (PVD), sometimes called physical vapor transport (PVT), describes a variety of vacuum deposition methods which can be used to...
    16 KB (1,868 words) - 09:54, 9 July 2024
  • Thumbnail for Plasma-enhanced chemical vapor deposition
    Plasma-enhanced chemical vapor deposition (PECVD) is a chemical vapor deposition process used to deposit thin films from a gas state (vapor) to a solid state...
    12 KB (1,474 words) - 22:58, 4 June 2024
  • Laser chemical vapor deposition (LCVD) is a chemical process used to produce high purity, high performance films, fibers, and mechanical hardware (MEMS)...
    4 KB (452 words) - 11:47, 21 May 2024
  • Thumbnail for Vacuum deposition
    based on the vapor source; physical vapor deposition uses a liquid or solid source and chemical vapor deposition uses a chemical vapor. The vacuum environment...
    6 KB (775 words) - 18:14, 26 November 2023
  • Chemical vapor deposition of ruthenium is a method to deposit thin layers of ruthenium on substrates by Chemical vapor deposition (CVD). A unique challenge...
    12 KB (1,504 words) - 07:48, 17 November 2023
  • Thumbnail for Metalorganic vapour-phase epitaxy
    vapour-phase epitaxy (OMVPE) or metalorganic chemical vapour deposition (MOCVD), is a chemical vapour deposition method used to produce single- or polycrystalline...
    12 KB (1,318 words) - 19:42, 21 August 2024
  • Thumbnail for Graphene
    solid-state supercapacitors based on chemical vapor deposition derived graphene fibers". Physical Chemistry Chemical Physics. 15 (41): 17752–7. Bibcode:2013PCCP...
    264 KB (27,555 words) - 16:48, 6 September 2024
  • desired properties. The deposition process can be broadly classified into physical vapor deposition (PVD) and chemical vapor deposition (CVD). In CVD, the...
    13 KB (1,776 words) - 08:54, 2 March 2024
  • (typically referred to as precursors or reactants). In contrast to chemical vapor deposition, the precursors are never present simultaneously in the reactor...
    65 KB (7,440 words) - 09:35, 9 August 2024
  • Combustion chemical vapor deposition (CCVD) is a chemical process by which thin-film coatings are deposited onto substrates in the open atmosphere. In...
    8 KB (762 words) - 19:54, 5 February 2024
  • Thumbnail for Synthetic diamond
    for their production method (high-pressure high-temperature and chemical vapor deposition, respectively). These two processes still dominate synthetic diamond...
    90 KB (10,010 words) - 13:24, 26 August 2024
  • Thumbnail for Hybrid physical–chemical vapor deposition
    physical–chemical vapor deposition (HPCVD) is a thin-film deposition technique, that combines physical vapor deposition (PVD) with chemical vapor deposition (CVD)...
    8 KB (892 words) - 08:54, 8 October 2022
  • fabrication plants. ASM's technologies include atomic layer deposition, epitaxy, chemical vapor deposition and diffusion. The company was founded by Arthur del...
    18 KB (1,786 words) - 13:15, 8 August 2024
  • Thumbnail for Transition metal dichalcogenide monolayers
    exfoliation by blending TMD materials with solvents and polymers. Chemical vapor deposition (CVD) is another approach used to synthesize transition-metal...
    93 KB (10,303 words) - 07:22, 20 August 2024
  • Chemical Vapor Deposition was a monthly peer-reviewed scientific journal covering materials science. It was established in 1995 and ceased independent...
    4 KB (186 words) - 04:41, 30 November 2023
  • although the samples are easily contaminated. Chemical vapor deposition (CVD) is a bottom-up chemical deposition method used to construct high-quality nanoscale...
    16 KB (1,955 words) - 03:21, 21 April 2024
  • Thumbnail for Electroless deposition
    nanotubes, unlike other plating methods such as Physical Vapor Deposition ( PVD), Chemical Vapor Deposition (CVD), and electroplating, which are limited to 2D...
    32 KB (3,331 words) - 07:17, 18 March 2024
  • Thumbnail for Coating
    vapour deposition (ESAVD) Sherardizing Some forms of Epitaxy Molecular beam epitaxy Cathodic arc deposition Electron beam physical vapor deposition (EBPVD)...
    42 KB (4,211 words) - 19:25, 27 August 2024
  • Thumbnail for Thin-film lithium-ion battery
    distance. In magnetron sputtering the substrate is cooled for deposition. In chemical vapor deposition, volatile precursor materials are deposited onto a substrate...
    20 KB (2,554 words) - 13:56, 4 July 2024
  • Thumbnail for Parylene
    to implanted devices. These coatings are typically applied by chemical vapor deposition in an atmosphere of the monomer para-xylylene. Parylene is considered...
    39 KB (4,773 words) - 00:29, 19 March 2024
  • Thumbnail for Tungsten hexafluoride
    Tungsten hexafluoride (category Chemical pages without ChemSpiderID)
    semiconductor industry to form tungsten films, through the process of chemical vapor deposition. This layer is used in a low-resistivity metallic "interconnect"...
    15 KB (1,580 words) - 14:01, 29 July 2024
  • structures is using chemical vapor deposition (CVD), which is best used to form nanowires and comb or tree-like structures. In vapor deposition processes, zinc...
    21 KB (2,686 words) - 19:46, 7 August 2024
  • Deposition can be viewed as a reverse process to dissolution or particle re-entrainment. Atomic layer deposition Chemical vapor deposition Deposition...
    2 KB (217 words) - 19:51, 11 July 2024
  • Thumbnail for Superconducting wire
    at 77 K in a 7 T magnetic field. Metal organic chemical vapor deposition (MOCVD) is one of the deposition processes used for fabrication of YBCO coated...
    21 KB (2,647 words) - 14:26, 25 June 2024
  • technique is chemical vapour deposition (CVD), the main difference being that the deposition of CVD is on hot bulk surfaces, while CVI deposition is on porous...
    10 KB (1,137 words) - 19:52, 5 February 2024
  • electroplating, and the deposition of silicon and enriched uranium by a chemical vapor deposition-like process after gas-phase processing. Deposition techniques fall...
    62 KB (8,210 words) - 07:41, 19 May 2024
  • Thumbnail for Synthesis of carbon nanotubes
    Synthesis of carbon nanotubes (category Chemical synthesis)
    ablation, high-pressure carbon monoxide disproportionation, and chemical vapor deposition (CVD). Most of these processes take place in a vacuum or with...
    49 KB (5,797 words) - 09:39, 29 May 2024
  • Thumbnail for Low-energy plasma-enhanced chemical vapor deposition
    plasma-enhanced chemical vapor deposition (LEPECVD) is a plasma-enhanced chemical vapor deposition technique used for the epitaxial deposition of thin semiconductor...
    10 KB (1,259 words) - 19:50, 5 February 2024
  • Thumbnail for Copper indium gallium selenide solar cell
    source for ion beam assisted deposition. Chemical vapor deposition (CVD) has been implemented in multiple ways for the deposition of CIGS. Processes include...
    48 KB (5,616 words) - 14:46, 27 June 2024